CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 数码管

搜索资源列表

  1. VHDL-Responder-Course-Design

    0下载:
  2. 开始键按下后,8个进度指示灯依次点亮,之后开始抢答。4个按键开关代表4个抢答键,由数码管显示最先按下的开关序号,表示此号码抢答成功。若在进度灯全亮之前有任意键被按下,则表示有人犯规!系统结构描述:此系统共包括4个板块,分别是输入板块、计数器板块、数码显示器板块、判断板块,各功能组合一起构成一个完整的抢答器。-Start key is pressed, 8 progress lights were lit, and then answer in the beginning. 4 key switc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:374029
    • 提供者:竹下寺宁
  1. vhdl-7Nixie-tube

    0下载:
  2. vhdl 七段数码管代码 可以把代码转换成可以在七段数码管上显示的代码-Seven-Segment LED vhdl code into the code can be displayed in seven sections of the code on the digital
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:3723
    • 提供者:zhaohong
  1. AD0809-VHDL

    0下载:
  2. ADC0809模数转换器 VHDL 代码,用数码管显示值-ADC0809 ADC VHDL code, with digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:980
    • 提供者:zcp2403
  1. keyboard

    0下载:
  2. PS2键盘控制数码管输入数字和点阵显示字母-PS2 keyboard control LED dot matrix display input numbers and letters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2459
    • 提供者:王蕾
  1. vhdl--eda

    0下载:
  2. m 序列发生器 计数器 七段数码管显示 bcd 十六进制转换-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2142
    • 提供者:kuwait
  1. EDA-experiments-based-on-VHDL

    0下载:
  2. 上传的文件包括E有关EDA实验的程序,比如FIFO,秒表,数字钟,七段数码管,状态机检测序列-The files uploaded contain some source code of EDA experiments based on VHDL, such as FIFO, digital clock, stop watch, digital tubes and sequential detector.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:4093
    • 提供者:shi xin
  1. VHDL-LED

    0下载:
  2. 设计一个带计数使能、异步复位、带进位输出的增1六位二进制计数器,计数结果由共阴极七段数码管显示-Design a counter with enable and asynchronous reset, brought by a six-bit output of the binary counter, counting the results from the common cathode seven segment display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:59172
    • 提供者:ds18b20
  1. shumaguanVHDL

    0下载:
  2. 武汉理工,VHDL数码管显示程序,经过调试-VHDL digital tube display program, after the experiment board debugging Wuhan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:3976
    • 提供者:戴超
  1. VHDL-the-count

    0下载:
  2. 利用VHDL 硬件描述语言设计一个0~9999 的加法计数器。根据一定频率的触发 时钟,计数器进行加计数,并利用数码管进行显示,当计数到9999 时,从0 开始重新计数-Use of VHDL hardware descr iption language design a 0 ~ 9999 addition counter. According to a certain frequency of the trigger The clock, counter add count, and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:648225
    • 提供者:sunhuiping
  1. vhdl

    0下载:
  2. VHDL实验 7段数码管译码器设计与实现-VHDL experiments 7-segment LED decoder design and implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2697
    • 提供者:天行者
  1. Electronic-Lock-(VHDL)

    1下载:
  2. 开锁代码为2位十进制并行码。 当输入的密码与锁内的密码一致时,绿灯亮,开锁;当输入的密码与所内的密码不一致时,红灯亮,不能开锁。 密码可由用户自行设置。 密码可由七段数码管显示出来。 -The design is based on the VHDL language, using the MAX+ plusII parallel electron two locks design, and design process described in detail. VHDL lan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-07
    • 文件大小:46080
    • 提供者:天街小雨
  1. datread_display

    0下载:
  2. 实现了对温湿度传感器DHT11的读写,并在数码管上显示,简单易懂!-realize to read the sensor of temprature and humidity named DHT11 and display the value on the digital tube,understand easily!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1402847
    • 提供者:路扬
  1. VHDL-Keyboard

    0下载:
  2. 设计制作一个检测4*4矩阵键盘的按键编码的实验,把实际按键的键值的八位编码先转换成从0000—1111的编码,再译成数码管能识别的八位编码,在数码管动态显示时,4*4矩阵键盘的第一行对应00—03,第二行对应04—07,第三行08—11,第四行对应12—15。-Design a 4* 4 matrix keyboard key coding experiments to detect the key the actual key octet coded first convert from 00
  3. 所属分类:MiddleWare

    • 发布日期:2017-03-26
    • 文件大小:15746
    • 提供者:zj
  1. VHDL

    0下载:
  2. 电路主要由七个模块组成:时钟产生模块用于产生1KHz的扫描时钟和1Hz的时钟;二分频模块用于对1Hz的时钟信号二分频;测量/校验选择模块用于功能选择;计数模块用于对输入的cp信号计数;送存选择、报警电路根据选择的量程送存信号并显示单位,在超出所选量程时报警;锁存器锁存要显示的结果;扫描显示模块在1KHz的扫描时钟下,依次扫描三个数码管,并显示结果。-The circuit consists of seven main modules: clock generation module is use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:2407
    • 提供者:张骞
  1. UART_RS232(VHDL)

    0下载:
  2. 本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证程序的功能。程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控制器,10个bit是1位起始位,8个数据位,1个结束位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实现相应的波特率。程序当前设定的div_par 的值是0x145,对应的波特率是9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间划分为8个时隙以使通信同步.程序的工作过程是:串口处于全双工工作状
  3. 所属分类:assembly language

    • 发布日期:2017-11-14
    • 文件大小:607493
    • 提供者:饕餮小宇
  1. VHDL-32bit-add

    0下载:
  2. 功能实现:“1015+1016+1017+...+1115” 101个数的累加(1s/次) 数码管显示结果,结果为1015、2031、3048、40-The functions: " 1015+1016+1017+ the ...+1115" 101 the number of cumulative (1s/time) digital tube display results, results 1015,2031,3048,4066 ...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:442305
    • 提供者:张前良
  1. PS2键盘实验-识别0-9和A-F 数码管显示值

    0下载:
  2. VHDL FPGA驱动键盘工程及源代码 试验已经通过 直接下载使用-VHDL FPGA driven keyboard Engineering and source code test has been used through direct download
  3. 所属分类:VHDL编程

    • 发布日期:2017-10-31
    • 文件大小:498277
    • 提供者:赵音
  1. simple-microwave-by-VHDL

    1下载:
  2. 用VHDL实现一个建议微波炉设计 1. 微波炉的火力有大、中、小三档可选。用一个按键实现火力的选择,用点阵显示火力档位,点阵的显示随着按键的按下次数而变化,没有选择时默认的火力为大; 2. 微波加热时间在0-59分59秒之间可选。用4个按键分别设置加热时间各位的长度,用数码管显示加热时间; 3. 设置一个开始键,按下此键后开始加热。加热过程中,用数码管倒计时显示剩余时间; 4. 加热过程中,不能修改火力和加热时间; 5. 加热完成后蜂鸣器至少鸣响两声以提醒使用者加热已结
  3. 所属分类:ELanguage

    • 发布日期:2016-01-02
    • 文件大小:743424
    • 提供者:zeroxinshou
  1. Taxi-Charging-VHDL

    1下载:
  2. 一个用VHDL写的出租车计价器例子,采用数码管显示,对里程和费用的显示计算。-Taximeter example, to write a VHDL digital display that displays the calculated mileage and expenses.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:709814
    • 提供者:yilin
  1. The-VHDL-various-basic-code

    0下载:
  2. VHDL的各种基本代码 包括4选1,8选1多路选择器,8位全加器,加1减1计数器,序列检测器,异步清零16位加减可控计数器,数码管扫描程序,双2选1,状态机等基本程序!-VHDL basic code including 4 election 1,8 to 1 multiplexer selector, 8-bit full adder, plus 1 minus 1 counter sequence detector, asynchronous clear 16 plus or minus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:3696478
    • 提供者:ai
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 28 »
搜珍网 www.dssz.com